2022

AlAmoudi, A., Celik, A., & Eltawil, A. M. (2023). Cooperative Body Channel Communications for Energy-Efficient Internet of Bodies. IEEE Internet of Things Journal, 10(4), 3468–3483. https://doi.org/10.1109/jiot.2022.3230719
Arzykulov, S., Nauryzbayev, G., Celik, A., & Eltawil, A. M. (2022). RIS-Assisted Full-Duplex Relay Systems. IEEE Systems Journal, 1–12. https://doi.org/10.1109/jsyst.2022.3189850
Lee, S., Fouda, M. E., Lee, J., Eltawil, A., & Kurdahi, F. (2022). Offline Training-based Mitigation of IR Drop for ReRAM-based Deep Neural Network Accelerators. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 1–1. https://doi.org/10.1109/tcad.2022.3177002
Fouda, M. E., Yantir, H. E., Eltawil, A. M., & Kurdahi, F. (2022). In-Memory Associative Processors: Tutorial, Potential, and Challenges. IEEE Transactions on Circuits and Systems II: Express Briefs, 69(6), 2641–2647. https://doi.org/10.1109/tcsii.2022.3170468
Ghoneim, M. S., Gadallah, S. I., Said, L. A., Eltawil, A. M., Radwan, A. G., & Madian, A. H. (2022). Plant stem tissue modeling and parameter identification using metaheuristic optimization algorithms. Scientific Reports, 12(1). https://doi.org/10.1038/s41598-022-06737-z
Alamoudi, A., Celik, A., & Eltawil, A. M. (2021). Energy Efficient Capacitive Body Channel Access Schemes for Internet of Bodies. 2021 IEEE Global Communications Conference (GLOBECOM). https://doi.org/10.1109/globecom46510.2021.9685810
Vijjapu, M. T., Fouda, M. E., Agambayev, A., Kang, C. H., Lin, C.-H., Ooi, B. S., He, J.-H., Eltawil, A. M., & Salama, K. N. (2022). A flexible capacitive photoreceptor for the biomimetic retina. Light: Science & Applications, 11(1). https://doi.org/10.1038/s41377-021-00686-4

2021

Yantır, H. E., Eltawil, A. M., & Salama, K. N. (2022). A hardware/software co-design methodology for in-memory processors. Journal of Parallel and Distributed Computing, 161, 63–71. https://doi.org/10.1016/j.jpdc.2021.10.009
Yantır, H. E., Eltawil, A. M., & Salama, K. N. (2022). A hardware/software co-design methodology for in-memory processors. Journal of Parallel and Distributed Computing, 161, 63–71. https://doi.org/10.1016/j.jpdc.2021.10.009
Abdallah, A., Celik, A., Mansour, M. M., & Eltawil, A. M. (2021). Deep Learning Based Frequency-Selective Channel Estimation for Hybrid mmWave MIMO Systems. IEEE Transactions on Wireless Communications, 1–1. https://doi.org/10.1109/twc.2021.3124202
AbdelAty, A. M., Fouda, M. E., & Eltawil, A. M. (2022). On numerical approximations of fractional-order spiking neuron models. Communications in Nonlinear Science and Numerical Simulation, 105, 106078. https://doi.org/10.1016/j.cnsns.2021.106078
Alzughaibi, A. A., Ibrahim, A. M., Na, Y., El-Tawil, S., & Eltawil, A. M. (2021). Community-Based Multi-Sensory Structural Health Monitoring System: A Smartphone Accelerometer and Camera Fusion Approach. IEEE Sensors Journal, 21(18), 20539–20551. https://doi.org/10.1109/jsen.2021.3097696
Zhang, W., Behbahani, A. S., & Eltawil, A. M. (2021, June 21). Cognitive Route Selection and Frequency Allocation for CubeSat Swarm. 2021 IEEE Cognitive Communications for Aerospace Applications Workshop (CCAAW). 2021 IEEE Cognitive Communications for Aerospace Applications Workshop (CCAAW). https://doi.org/10.1109/ccaaw50069.2021.9527305
Zhang, W., Behbahani, A. S., & Eltawil, A. M. (2021). Cognitive Route Selection and Frequency Allocation for CubeSat Swarm. 2021 IEEE Cognitive Communications for Aerospace Applications Workshop (CCAAW). https://doi.org/10.1109/ccaaw50069.2021.9527305
Jung, G., Fouda, M., Lee, S., Lee, J., Eltawil, A., & Kurdahi, F. (2021). Cost- and Dataset-free Stuck-at Fault Mitigation for ReRAM-based Deep Learning Accelerators. 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE). https://doi.org/10.23919/date51398.2021.9474226
Alzughaibi, A. A., Ibrahim, A. M., Na, Y., El-Tawil, S., & Eltawil, A. M. (2021). Community-Based Multi-Sensory Structural Health Monitoring System: A Smartphone Accelerometer and Camera Fusion Approach. IEEE Sensors Journal, 21(18), 20539–20551. https://doi.org/10.1109/jsen.2021.3097696
Alzughaibi, A. A., Ibrahim, A. M., Na, Y., El-Tawil, S., & Eltawil, A. M. (2021). Community-Based Multi-Sensory Structural Health Monitoring System: A Smartphone Accelerometer and Camera Fusion Approach. IEEE Sensors Journal, 21(18), 20539–20551. https://doi.org/10.1109/jsen.2021.3097696
Jung, G., Fouda, M., Lee, S., Lee, J., Eltawil, A., & Kurdahi, F. (2021). Cost- and Dataset-free Stuck-at Fault Mitigation for ReRAM-based Deep Learning Accelerators. 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE). https://doi.org/10.23919/date51398.2021.9474226
Arzykulov, S., Celik, A., Nauryzbayev, G., & Eltawil, A. M. (2021). UAV-Assisted Cooperative & Cognitive NOMA: Deployment, Clustering, and Resource Allocation. IEEE Transactions on Cognitive Communications and Networking, 1–1. https://doi.org/10.1109/tccn.2021.3105133
Ghoneim, M. S., Mohammaden, A., Said, L. A., Madian, A. H., Radwan, A. G., & Eltawil, A. M. (2021). A Comparative Study of Different Human Skin Impedance Models. 2021 38th National Radio Science Conference (NRSC). https://doi.org/10.1109/nrsc52299.2021.9509823
Arzykulov, S., Celik, A., Nauryzbayev, G., & Eltawil, A. M. (2021). UAV-Assisted Cooperative & Cognitive NOMA: Deployment, Clustering, and Resource Allocation. IEEE Transactions on Cognitive Communications and Networking, 1–1. https://doi.org/10.1109/tccn.2021.3105133
Celik, A., Salama, K. N., & Eltawil, A. M. (2021). The Internet of Bodies: A Systematic Survey on Propagation Characterization and Channel Modeling. IEEE Internet of Things Journal, 1–1. https://doi.org/10.1109/jiot.2021.3098028
Akhmetkaziyev, Y., Nauryzbayev, G., Arzykulov, S., Eltawil, A. M., & Tsiftsis, T. A. (2021). Coverage Analysis of CR-based Satellite-Terrestrial NOMA Networks with Practical System Impairments. ICC 2021 - IEEE International Conference on Communications. https://doi.org/10.1109/icc42927.2021.9500872
Ghoneim, M. S., Mohammaden, A., Said, L. A., Madian, A. H., Radwan, A. G., & Eltawil, A. M. (2021, July 27). A Comparative Study of Different Human Skin Impedance Models. 2021 38th National Radio Science Conference (NRSC). 2021 38th National Radio Science Conference (NRSC). https://doi.org/10.1109/nrsc52299.2021.9509823
Celik, A., Salama, K. N., & Eltawil, A. M. (2021). The Internet of Bodies: A Systematic Survey on Propagation Characterization and Channel Modeling. IEEE Internet of Things Journal, 1–1. https://doi.org/10.1109/jiot.2021.3098028
Akhmetkaziyev, Y., Nauryzbayev, G., Arzykulov, S., Eltawil, A. M., & Tsiftsis, T. A. (2021, June). Coverage Analysis of CR-based Satellite-Terrestrial NOMA Networks with Practical System Impairments. ICC 2021 - IEEE International Conference on Communications. ICC 2021 - IEEE International Conference on Communications. https://doi.org/10.1109/icc42927.2021.9500872
Arzykulov, S., Nauryzbayev, G., Celik, A., & Eltawil, A. M. (2021). Hardware and Interference Limited Cooperative CR-NOMA Networks Under Imperfect SIC and CSI. IEEE Open Journal of the Communications Society, 2, 1473–1485. https://doi.org/10.1109/ojcoms.2021.3091606
Arzykulov, S., Nauryzbayev, G., Celik, A., & Eltawil, A. M. (2021). Hardware and Interference Limited Cooperative CR-NOMA Networks Under Imperfect SIC and CSI. IEEE Open Journal of the Communications Society, 2, 1473–1485. https://doi.org/10.1109/ojcoms.2021.3091606
Omarov, O., Nauryzbayev, G., Arzykulov, S., Eltawil, A. M., & Hashmi, M. S. (2021). Outage Analysis of EH-based Cooperative NOMA Networks over Generalized Statistical Models. 2021 IEEE 93rd Vehicular Technology Conference (VTC2021-Spring). https://doi.org/10.1109/vtc2021-spring51267.2021.9448642
Lu, H.-H., Fouda, M. E., Shen, C.-A., & Eltawil, A. (2020). Full-Duplex Self Cancellation Techniques Using Independent Component Analysis. 2020 54th Asilomar Conference on Signals, Systems, and Computers. https://doi.org/10.1109/ieeeconf51394.2020.9443385
Fouda, M. E., Shen, C.-A., & Eltawil, A. E. (2021). Blind Source Separation For Full-Duplex Systems: Potential and Challenges. IEEE Open Journal of the Communications Society, 2, 1379–1389. https://doi.org/10.1109/ojcoms.2021.3086105
Fouda, M. E., Shen, C.-A., & Eltawil, A. E. (2021). Blind Source Separation For Full-Duplex Systems: Potential and Challenges. IEEE Open Journal of the Communications Society, 2, 1379–1389. https://doi.org/10.1109/ojcoms.2021.3086105
Zaman, I. U., Eltawil, A., & Boyraz, O. (2021). Wireless Communication Technologies in Omnidirectional CubeSat Crosslink: Feasibility Study and Performance Analysis. IEEE Journal on Miniaturization for Air and Space Systems, 2(3), 157–166. https://doi.org/10.1109/jmass.2021.3079102
Zaman, I. U., Eltawil, A., & Boyraz, O. (2021). Wireless Communication Technologies in Omnidirectional CubeSat Crosslink: Feasibility Study and Performance Analysis. IEEE Journal on Miniaturization for Air and Space Systems, 2(3), 157–166. https://doi.org/10.1109/jmass.2021.3079102
Akhmetkaziyev, Y., Nauryzbayev, G., Arzykulov, S., Eltawil, A. M., & Rabie, K. M. (2021). Cognitive Non-ideal NOMA Satellite-Terrestrial Networks with Channel and Hardware Imperfections. 2021 IEEE Wireless Communications and Networking Conference (WCNC). https://doi.org/10.1109/wcnc49053.2021.9417386
Omarov, O., Nauryzbayev, G., Arzykulov, S., Hashmi, M. S., & Eltawil, A. M. (2021). Capacity Analysis of Wireless Powered Cooperative NOMA Networks over Generalized Fading. 2021 IEEE Wireless Communications and Networking Conference (WCNC). https://doi.org/10.1109/wcnc49053.2021.9417356
Omarov, O., Nauryzbayev, G., Arzykulov, S., Eltawil, A. M., & Hashmi, M. S. (2021, April). Outage Analysis of EH-based Cooperative NOMA Networks over Generalized Statistical Models. 2021 IEEE 93rd Vehicular Technology Conference (VTC2021-Spring). 2021 IEEE 93rd Vehicular Technology Conference (VTC2021-Spring). https://doi.org/10.1109/vtc2021-spring51267.2021.9448642
Akhmetkaziyev, Y., Nauryzbayev, G., Arzykulov, S., Eltawil, A. M., & Rabie, K. M. (2021, March 29). Cognitive Non-ideal NOMA Satellite-Terrestrial Networks with Channel and Hardware Imperfections. 2021 IEEE Wireless Communications and Networking Conference (WCNC). 2021 IEEE Wireless Communications and Networking Conference (WCNC). https://doi.org/10.1109/wcnc49053.2021.9417386
Omarov, O., Nauryzbayev, G., Arzykulov, S., Hashmi, M. S., & Eltawil, A. M. (2021, March 29). Capacity Analysis of Wireless Powered Cooperative NOMA Networks over Generalized Fading. 2021 IEEE Wireless Communications and Networking Conference (WCNC). 2021 IEEE Wireless Communications and Networking Conference (WCNC). https://doi.org/10.1109/wcnc49053.2021.9417356
AbdelAty, A. M., Fouda, M. E., & Eltawil, A. M. (2021). Comment on “FPGA realization of fractional order neuron” [Appl. Math. Model. 81 (2020) 372–385]. Applied Mathematical Modelling, 92, 951–954. https://doi.org/10.1016/j.apm.2020.10.026
Shihada, B., Elbatt, T., Eltawil, A., Mansour, M., Sabir, E., Rekhis, S., & Sharafeddine, S. (2021). Networking research for the Arab world. Communications of the ACM, 64(4), 114–119. https://doi.org/10.1145/3447748
Shihada, B., Elbatt, T., Eltawil, A., Mansour, M., Sabir, E., Rekhis, S., & Sharafeddine, S. (2021). Networking research for the Arab world. Communications of the ACM, 64(4), 114–119. https://doi.org/10.1145/3447748
Celis, S., Farhat, M., Zhang, L., Bagci, H., Eltawil, A. M., & Salama, K. N. (2021). On Coding and Decoding Reconfigurable Radiation Pattern Modulation Symbols. Electronics, 10(5), 614. https://doi.org/10.3390/electronics10050614
Celis, S., Farhat, M., Zhang, L., Bagci, H., Eltawil, A. M., & Salama, K. N. (2021). On Coding and Decoding Reconfigurable Radiation Pattern Modulation Symbols. Electronics, 10(5), 614. https://doi.org/10.3390/electronics10050614
Celis, S., Farhat, M., Zhang, L., Bagci, H., Eltawil, A. M., & Salama, K. N. (2021). On Coding and Decoding Reconfigurable Radiation Pattern Modulation Symbols. Electronics, 10(5), 614. https://doi.org/10.3390/electronics10050614
Guo, W., Fouda, M. E., Eltawil, A. M., & Salama, K. N. (2021). Neural Coding in Spiking Neural Networks: A Comparative Study for Robust Neuromorphic Systems. Frontiers in Neuroscience, 15. https://doi.org/10.3389/fnins.2021.638474
Guo, W., Fouda, M. E., Eltawil, A. M., & Salama, K. N. (2021). Neural Coding in Spiking Neural Networks: A Comparative Study for Robust Neuromorphic Systems. Frontiers in Neuroscience, 15. https://doi.org/10.3389/fnins.2021.638474
Fouda, M. E., AbdelAty, A. M., Elwakil, A. S., Radwan, A. G., & Eltawil, A. M. (2021). Programmable constant phase element realization with crossbar arrays. Journal of Advanced Research, 29, 137–145. https://doi.org/10.1016/j.jare.2020.08.007
Na, Y., El-Tawil, S., Ibrahim, A., & Eltawil, A. (2021). Stick-Slip Classification Based on Machine Learning Techniques for Building Damage Assessment. Journal of Earthquake Engineering, 1–18. https://doi.org/10.1080/13632469.2021.1891156
Na, Y., El-Tawil, S., Ibrahim, A., & Eltawil, A. (2021). Stick-Slip Classification Based on Machine Learning Techniques for Building Damage Assessment. Journal of Earthquake Engineering, 1–18. https://doi.org/10.1080/13632469.2021.1891156
Akhmetkaziyev, Y., Nauryzbayev, G., Arzykulov, S., Eltawil, A. M., Rabie, K. M., & Li, X. (2021). Performance of NOMA-Enabled Cognitive Satellite-Terrestrial Networks With Non-Ideal System Limitations. IEEE Access, 9, 35932–35946. https://doi.org/10.1109/access.2021.3061278
Akhmetkaziyev, Y., Nauryzbayev, G., Arzykulov, S., Eltawil, A. M., Rabie, K. M., & Li, X. (2021). Performance of NOMA-Enabled Cognitive Satellite-Terrestrial Networks With Non-Ideal System Limitations. IEEE Access, 9, 35932–35946. https://doi.org/10.1109/access.2021.3061278
Guo, W., Yantir, H. E., Fouda, M. E., Eltawil, A. M., & Salama, K. N. (2021). Toward the Optimal Design and FPGA Implementation of Spiking Neural Networks. IEEE Transactions on Neural Networks and Learning Systems, 1–15. https://doi.org/10.1109/tnnls.2021.3055421
Guo, W., Yantir, H. E., Fouda, M. E., Eltawil, A. M., & Salama, K. N. (2021). Toward the Optimal Design and FPGA Implementation of Spiking Neural Networks. IEEE Transactions on Neural Networks and Learning Systems, 1–15. https://doi.org/10.1109/tnnls.2021.3055421
Jung, G., Fouda, M., Lee, S., Lee, J., Eltawil, A., & Kurdahi, F. (2021, February 1). Cost- and Dataset-free Stuck-at Fault Mitigation for ReRAM-based Deep Learning Accelerators. 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE). 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE). https://doi.org/10.23919/date51398.2021.9474226
Bazzi, J., Fouda, M. E., Kanj, R., & Eltawil, A. M. (2020). Threshold Switch Modeling for Analog CAM Design. 2020 32nd International Conference on Microelectronics (ICM). https://doi.org/10.1109/icm50269.2020.9331775
Yantir, H. E., Eltawil, A. M., & Salama, K. N. (2021). IMCA: An Efficient In-Memory Convolution Accelerator. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 29(3), 447–460. https://doi.org/10.1109/tvlsi.2020.3047641
Yantir, H. E., Eltawil, A. M., & Salama, K. N. (2021). IMCA: An Efficient In-Memory Convolution Accelerator. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 29(3), 447–460. https://doi.org/10.1109/tvlsi.2020.3047641
Yantir, H. E., Eltawil, A. M., & Salama, K. N. (2020). An Efficient 2D Discrete Cosine Transform Processor for Multimedia Applications. 2020 28th Signal Processing and Communications Applications Conference (SIU). https://doi.org/10.1109/siu49456.2020.9302059

2020

Fouda, M. E., Lee, S., Lee, J., Kim, G. H., Kurdahi, F., & Eltawi, A. M. (2020). IR-QNN Framework: An IR Drop-Aware Offline Training of Quantized Crossbar Arrays. IEEE Access, 8, 228392–228408. https://doi.org/10.1109/access.2020.3044652
Payvand, M., Fouda, M. E., Kurdahi, F., Eltawil, A. M., & Neftci, E. O. (2020). On-Chip Error-Triggered Learning of Multi-Layer Memristive Spiking Neural Networks. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 10(4), 522–535. https://doi.org/10.1109/jetcas.2020.3040248
Guo, W., Fouda, M. E., Yantir, H. E., Eltawil, A. M., & Salama, K. N. (2020). Unsupervised Adaptive Weight Pruning for Energy-Efficient Neuromorphic Systems. Frontiers in Neuroscience, 14. https://doi.org/10.3389/fnins.2020.598876
AbdelAty, A. M., Fouda, M. E., & Eltawil, A. M. (2021). Comment on “FPGA realization of fractional order neuron” [Appl. Math. Model. 81 (2020) 372–385]. Applied Mathematical Modelling, 92, 951–954. https://doi.org/10.1016/j.apm.2020.10.026
Lee, S., Jung, G., Fouda, M. E., Lee, J., Eltawil, A., & Kurdahi, F. (2020). Learning to Predict IR Drop with Effective Training for ReRAM-based Neural Network Hardware. 2020 57th ACM/IEEE Design Automation Conference (DAC). https://doi.org/10.1109/dac18072.2020.9218735
Ebrahim, A., Celik, A., Alsusa, E., & Eltawil, A. M. (2020). NOMA/OMA Mode Selection and Resource Allocation for Beyond 5G Networks. 2020 IEEE 31st Annual International Symposium on Personal, Indoor and Mobile Radio Communications. https://doi.org/10.1109/pimrc48278.2020.9217161
Ayoub, M. A., & Eltawil, A. M. (2020). Throughput Characterization for Bluetooth Low Energy with Applications in Body Area Networks. 2020 IEEE International Symposium on Circuits and Systems (ISCAS). https://doi.org/10.1109/iscas45731.2020.9180727
Shaboyan, S., Behbahani, A. S., & Eltawil, A. M. (2020). Design and Implementation of an End-to-End Amplify and Forward Full-Duplex Relay Network. IEEE Access, 8, 163594–163607. https://doi.org/10.1109/access.2020.3021992
Fouda, M. E., AbdelAty, A. M., Elwakil, A. S., Radwan, A. G., & Eltawil, A. M. (2021). Programmable constant phase element realization with crossbar arrays. Journal of Advanced Research, 29, 137–145. https://doi.org/10.1016/j.jare.2020.08.007
Rakka, M., Fouda, M. E., Kanj, R., Eltawil, A., & Kurdahi, F. J. (2021). Design Exploration of Sensing Techniques in 2T-2R Resistive Ternary CAMs. IEEE Transactions on Circuits and Systems II: Express Briefs, 68(2), 762–766. https://doi.org/10.1109/tcsii.2020.3017477
Ibrahim, A., & Eltawil, A. (2020). Unauthorized Location Inference Using Smart Device Pressure Sensor. 2020 9th Mediterranean Conference on Embedded Computing (MECO). https://doi.org/10.1109/meco49872.2020.9134233
Alzughaibi, A. A., Ibrahim, A. M., Na, Y., El-Tawil, S., & Eltawil, A. M. (2020). Feasibility of Utilizing Smart-phone Cameras for Seismic Structural Damage Detection. 2020 IEEE International Instrumentation and Measurement Technology Conference (I2MTC). https://doi.org/10.1109/i2mtc43012.2020.9128554
Guo, W., Yantır, H. E., Fouda, M. E., Eltawil, A. M., & Salama, K. N. (2020). Towards Efficient Neuromorphic Hardware: Unsupervised Adaptive Neuron Pruning. Electronics, 9(7), 1059. https://doi.org/10.3390/electronics9071059
Yantır, H. E., Eltawil, A. M., & Salama, K. N. (2020). Efficient Acceleration of Stencil Applications through In-Memory Computing. Micromachines, 11(6), 622. https://doi.org/10.3390/mi11060622
Payvand, M., Fouda, M. E., Kurdahi, F., Eltawil, A., & Neftci, E. O. (2020). Error-triggered Three-Factor Learning Dynamics for Crossbar Arrays. 2020 2nd IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS). https://doi.org/10.1109/aicas48895.2020.9073998
Arzykulov, S., Nauryzbayev, G., Hashmi, M. S., Eltawil, A. M., Rabie, K. M., & Seilov, S. (2020). Hardware- and Interference-Limited Cognitive IoT Relaying NOMA Networks With Imperfect SIC Over Generalized Non-Homogeneous Fading Channels. IEEE Access, 8, 72942–72956. https://doi.org/10.1109/access.2020.2987873
Na, Y., El-Tawil, S., Ibrahim, A., & Eltawil, A. (2020). Automated Assessment of Building Damage from Seismic Events Using Smartphones. Journal of Structural Engineering, 146(5), 04020076. https://doi.org/10.1061/(asce)st.1943-541x.0002618
Khorshid, A. E., Alquaydheb, I. N., Kurdahi, F., Jover, R. P., & Eltawil, A. (2020). Biometric Identity Based on Intra-Body Communication Channel Characteristics and Machine Learning. Sensors, 20(5), 1421. https://doi.org/10.3390/s20051421
Fouda, M. E., Shaboyan, S., Elezabi, A., & Eltawil, A. (2020). Application of ICA on Self-Interference Cancellation of In-Band Full Duplex Systems. IEEE Wireless Communications Letters, 9(7), 924–927. https://doi.org/10.1109/lwc.2020.2973637
Shaboyan, S., Behbahani, A. S., & Eltawil, A. M. (2020). Practical Considerations for Full Duplex Enabled 5G Integrated Access and Backhaul. Journal of Signal Processing Systems, 92(5), 465–474. https://doi.org/10.1007/s11265-019-01503-3
Fouda, M. E., Eltawil, A. M., & Kurdahi, F. (2019). Activated Current Sensing Circuit for Resistive Neuromorphic Networks. 2019 17th IEEE International New Circuits and Systems Conference (NEWCAS). https://doi.org/10.1109/newcas44328.2019.8961245
Ibrahim, A., Eltawil, A., Na, Y., & El-Tawil, S. (2020). Accuracy Limits of Embedded Smart Device Accelerometer Sensors. IEEE Transactions on Instrumentation and Measurement, 69(8), 5488–5496. https://doi.org/10.1109/tim.2020.2964912

2019

Na, Y., El-Tawil, S., Ibrahim, A., & Eltawil, A. (2019). Identifying Stick-Slip Characteristics of a Smart Device on a Seismically Excited Surface Using On-Board Sensors. Journal of Earthquake Engineering, 26(2), 911–929. https://doi.org/10.1080/13632469.2019.1693449
Khorshid, A. E., Alquaydheb, I. N., Eltawil, A. M., & Kurdahi, F. (2019). Sensitivity of Galvanic Intra-Body Communication Channel to System Parameters. Body Area Networks: Smart IoT and Big Data for Intelligent Health Management, 150–160. https://doi.org/10.1007/978-3-030-34833-5_13
Yantır, H. E., Guo, W., Eltawil, A. M., Kurdahi, F. J., & Salama, K. N. (2019). An Ultra-Area-Efficient 1024-Point In-Memory FFT Processor. Micromachines, 10(8), 509. https://doi.org/10.3390/mi10080509
Fouda, M. E., Lee, S., Lee, J., Eltawil, A., & Kurdahi, F. (2019). Mask Technique for Fast and Efficient Training of Binary Resistive Crossbar Arrays. IEEE Transactions on Nanotechnology, 18, 704–716. https://doi.org/10.1109/tnano.2019.2927493
Khorshid, A. E., Alquaydheb, I. N., Eltawil, A. M., & Kurdahi, F. J. (2019). IBCFAP: Intra-Body Communications Five-Layers Arm Phantom Model. IEEE Access, 7, 93701–93710. https://doi.org/10.1109/access.2019.2925865
Fouda, M. E., Allagui, A., Elwakil, A. S., Eltawil, A., & Kurdahi, F. (2019). Supercapacitor discharge under constant resistance, constant current and constant power loads. Journal of Power Sources, 435, 226829. https://doi.org/10.1016/j.jpowsour.2019.226829
Alzughaibi, A. A., Ibrahim, A. M., Eltawil, A. M., Na, Y., & El-Tawil, S. (2019). Post-Disaster Structural Health Monitoring System Using Personal Mobile-Phones. 2019 IEEE Topical Conference on Wireless Sensors and Sensor Networks (WiSNet). https://doi.org/10.1109/wisnet.2019.8711805
Hovhannisyan, D., Khalifeh, K., Fei, P., Eltawil, A., & Kurdahi, F. (2019). Feasibility Study of Plant Health Monitoring. 2019 IEEE International Symposium on Circuits and Systems (ISCAS). https://doi.org/10.1109/iscas.2019.8702569
Hovhannisyan, D., Eltawil, A., & Kurdahi, F. (2019). Testing Topology Adaptive Irrigation IoT with Circuits. 2019 IEEE International Symposium on Circuits and Systems (ISCAS). https://doi.org/10.1109/iscas.2019.8702559
Fouda, M. E., AboBakr, A., Elwakil, A. S., Radwan, A. G., & Eltawil, A. M. (2019). Simple MOS Transistor-Based Realization of Fractional-Order Capacitors. 2019 IEEE International Symposium on Circuits and Systems (ISCAS). https://doi.org/10.1109/iscas.2019.8702341
Shaboyan, S., Behbahani, A. S., & Eltawil, A. M. (2018). Robust Frame Boundary Synchronization for In-Band Full-Duplex OFDM System. 2018 52nd Asilomar Conference on Signals, Systems, and Computers. https://doi.org/10.1109/acssc.2018.8645473
Ibrahim, A., Eltawil, A., Na, Y., & El-Tawil, S. (2020). Effect of Sensor Error on the Assessment of Seismic Building Damage. IEEE Transactions on Instrumentation and Measurement, 69(2), 573–584. https://doi.org/10.1109/tim.2019.2896371
Yantir, H. E., Eltawil, A. M., & Kurdahi, F. J. (2018). Low-Power Resistive Associative Processor Implementation Through the Multi-Compare. 2018 25th IEEE International Conference on Electronics, Circuits and Systems (ICECS). https://doi.org/10.1109/icecs.2018.8617925

2018

Khorshid, A. E., Alquaydheb, I. N., & Eltawil, A. M. (2018). Electrode Impedance Modeling for Channel Characterization for Intra-body Communication. Advances in Body Area Networks I, 253–266. https://doi.org/10.1007/978-3-030-02819-0_19
Khorshid, A. E., Alquaydheb, I. N., & Eltawil, A. M. (2018). Electrode Impedance Modeling for Channel Characterization for Intra-body Communication. Advances in Body Area Networks I, 253–266. https://doi.org/10.1007/978-3-030-02819-0_19
Alquaydheb, I. N., Khorshid, A. E., & Eltawil, A. M. (2018). Analysis and Estimation of Intra-body Communications Path Loss for Galvanic Coupling. Advances in Body Area Networks I, 267–277. https://doi.org/10.1007/978-3-030-02819-0_20
Alquaydheb, I. N., Khorshid, A. E., & Eltawil, A. M. (2018). Analysis and Estimation of Intra-body Communications Path Loss for Galvanic Coupling. Advances in Body Area Networks I, 267–277. https://doi.org/10.1007/978-3-030-02819-0_20
Fouda, M. E., Khorshid, A. E., Alquaydheb, I., Eltawil, A., & Kurdahi, F. (2018). Extracting the Cole-Cole Model Parameters of Tissue-mimicking Materials. 2018 IEEE Biomedical Circuits and Systems Conference (BioCAS). https://doi.org/10.1109/biocas.2018.8584724
Fouda, M. E., Neftci, E., Eltawil, A., & Kurdahi, F. (2019). Independent Component Analysis Using RRAMs. IEEE Transactions on Nanotechnology, 18, 611–615. https://doi.org/10.1109/tnano.2018.2880734
Alenizi, F., Kurdahi, F., Eltawil, A. M., & Al-Asmari, A. K. (2018). Hybrid pyramid-DWT-SVD dual data hiding technique for videos ownership protection. Multimedia Tools and Applications, 78(11), 14511–14547. https://doi.org/10.1007/s11042-018-6723-9
Hovhannisyan, D., Eltawil, A., Al Faruque, M., & Kurdahi, F. (2018). Circuit Inspired Modeling Method for Irrigation. 2018 21st Euromicro Conference on Digital System Design (DSD). https://doi.org/10.1109/dsd.2018.00064
Yantır, H. E., Eltawil, A. M., Niar, S., & Kurdahi, F. J. (2018). Power optimization techniques for associative processors. Journal of Systems Architecture, 90, 44–53. https://doi.org/10.1016/j.sysarc.2018.08.006
Murad, M., & Eltawil, A. M. (2018). Collision Tolerance and Throughput Gain in Full-Duplex IEEE 802.11 DCF. 2018 IEEE International Conference on Communications (ICC). https://doi.org/10.1109/icc.2018.8422695
Abdelaal, R. A., Yantir, H. E., Eltawil, A. M., & Kurdahi, F. J. (2019). Power Performance Tradeoffs Using Adaptive Bit Width Adjustments on Resistive Associative Processors. IEEE Transactions on Circuits and Systems I: Regular Papers, 66(1), 302–312. https://doi.org/10.1109/tcsi.2018.2850885
Shaboyan, S., Behbahani, A. S., & Eltawil, A. M. (2018). Active Cancellation of Self-Interference for Full-Duplex Amplify and Forward Wi-Fi Relay. IEEE Wireless Communications Letters, 7(6), 1050–1053. https://doi.org/10.1109/lwc.2018.2857801
Yantir, H. E., Eltawil, A. M., & Kurdahi, F. J. (2018). A Hybrid Approximate Computing Approach for Associative In-Memory Processors. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 8(4), 758–769. https://doi.org/10.1109/jetcas.2018.2852701
Khorshid, A. E., Alquaydheb, I. N., Eltawil, A. M., & Kurdahi, F. J. (2018). Physical Multi-Layer Phantoms for Intra-Body Communications. IEEE Access, 6, 42812–42821. https://doi.org/10.1109/access.2018.2849709
Abdelaal, R. A., & Eltawil, A. M. (2018). Scheduling and power adaptation for wireless local area networks with full-duplex capability. Transactions on Emerging Telecommunications Technologies, 29(8), e3451. https://doi.org/10.1002/ett.3451
Na, Y., El-Tawil, S., Ibrahim, A., & Eltawil, A. (2018). Dynamic behavior of a smart device on a surface subjected to earthquake motion. Earthquake Engineering & Structural Dynamics, 47(9), 1905–1920. https://doi.org/10.1002/eqe.3048
Yantir, H. E., Eltawil, A. M., & Kurdahi, F. J. (2018). A Two-Dimensional Associative Processor. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 26(9), 1659–1670. https://doi.org/10.1109/tvlsi.2018.2827262
Neggaz, M. A., Yantir, H. E., Niar, S., Eltawil, A., & Kurdahi, F. (2018). Rapid in-memory matrix multiplication using associative processor. 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE). https://doi.org/10.23919/date.2018.8342152
Alenizi, F. A., Kurdahi, F., & Eltawil, A. (2017). 3D mesh robust watermarking technique for ownership protection. 2017 51st Asilomar Conference on Signals, Systems, and Computers. https://doi.org/10.1109/acssc.2017.8335170
Shaboyan, S., Ahmed, E., Behbahani, A. S., Younis, W., & Eltawil, A. M. (2017). Frequency and Timing Synchronization for In-Band Full-Duplex OFDM System. GLOBECOM 2017 - 2017 IEEE Global Communications Conference. https://doi.org/10.1109/glocom.2017.8254928

2017

Chen, X., Eltawil, A. M., & Kurdahi, F. J. (2017). Low Latency Approximate Adder for Highly Correlated Input Streams. 2017 IEEE International Conference on Computer Design (ICCD). https://doi.org/10.1109/iccd.2017.26
Bahloul, M. A., Fouda, M. E., Naous, R., Zidan, M. A., Eltawil, A. M., Kurdahi, F., & Salama, K. N. (2017). Design and analysis of 2T-2M Ternary content addressable memories. 2017 IEEE 60th International Midwest Symposium on Circuits and Systems (MWSCAS). https://doi.org/10.1109/mwscas.2017.8053201
Fouda, M. E., Eltawil, A. M., & Kurdahi, F. J. (2017). On one step row readout technique of selector-less resistive arrays. 2017 IEEE 60th International Midwest Symposium on Circuits and Systems (MWSCAS). https://doi.org/10.1109/mwscas.2017.8052863
Elsharkasy, W. M., Yantir, H. E., Khajeh, A., Eltawil, A. M., & Kurdahi, F. J. (2017). Efficient pulsed-latch implementation for multiport register files. Proceedings of the 2017 International Conference on Compilers, Architectures and Synthesis for Embedded Systems Companion. https://doi.org/10.1145/3125501.3125515
Elsharkasy, W. M., Yantir, H. E., Khajeh, A., Eltawil, A. M., & Kurdahi, F. J. (2017). Efficient pulsed-latch implementation for multiport register files. Proceedings of the 2017 International Conference on Compilers, Architectures and Synthesis for Embedded Systems Companion. https://doi.org/10.1145/3125501.3125515
Yantir, H. E., Eltawil, A. M., & Kurdahi, F. J. (2017). Approximate Memristive In-memory Computing. ACM Transactions on Embedded Computing Systems, 16(5s), 1–18. https://doi.org/10.1145/3126526
Park, Y.-H., Khajeh, A., Shin, J. Y., Kurdahi, F., Eltawil, A., & Dutt, N. (2017). Microarchitecture-Level SoC Design. Handbook of Hardware/Software Codesign, 867–913. https://doi.org/10.1007/978-94-017-7267-9_28
Fouda, M. E., Eltawil, A. M., & Kurdahi, F. (2018). Modeling and Analysis of Passive Switching Crossbar Arrays. IEEE Transactions on Circuits and Systems I: Regular Papers, 65(1), 270–282. https://doi.org/10.1109/tcsi.2017.2714101
Khorshid, A., Eltawil, A., & Kurdahi, F. (2017). On the Optimum Data Carrier for Intra-body Communication Applications. Proceedings of the 11th International Conference on Body Area Networks. https://doi.org/10.4108/eai.15-12-2016.2267620
Murad, M., & Eltawil, A. M. (2017). A Simple Full-Duplex MAC Protocol Exploiting Asymmetric Traffic Loads in WiFi Systems. 2017 IEEE Wireless Communications and Networking Conference (WCNC). https://doi.org/10.1109/wcnc.2017.7925604
Na, Y., El-Tawil, S., Ibrahim, A., & Eltawil, A. (2017). The Feasibility of Using Smart Devices for Quantifying Seismic Damage to Buildings. Structures Congress 2017. https://doi.org/10.1061/9780784480427.013
Elsharkasy, W. M., Khajeh, A., Eltawil, A. M., & Kurdahi, F. J. (2017). Reliability Enhancement of Low-Power Sequential Circuits Using Reconfigurable Pulsed Latches. IEEE Transactions on Circuits and Systems I: Regular Papers, 64(7), 1803–1814. https://doi.org/10.1109/tcsi.2017.2680433
Abdelaal, R. A., Behbahani, A. S., & Eltawil, A. M. (2017). Practical Framework for Downlink MU-MIMO for LTE Systems. IEEE Wireless Communications Letters, 6(3), 314–317. https://doi.org/10.1109/lwc.2017.2682239
Kurdahi, F., Faruque, M. A. A., Gajski, D., & Eltawil, A. (2017). A case study to develop a graduate-level degree program in embedded & cyber-physical systems. ACM SIGBED Review, 14(1), 16–21. https://doi.org/10.1145/3036686.3036688
Alouani, I., Elsharkasy, W. M., Eltawil, A. M., Kurdahi, F. J., & Niar, S. (2017). AS8‐static random access memory (SRAM): asymmetric SRAM architecture for soft error hardening enhancement. IET Circuits, Devices & Systems, 11(1), 89–94. https://doi.org/10.1049/iet-cds.2015.0318

2016

Yantir, H. E., Fouda, M. E., Eltawil, A. M., & Kurdahi, F. J. (2016). Process variations-aware resistive associative processor design. 2016 IEEE 34th International Conference on Computer Design (ICCD). https://doi.org/10.1109/iccd.2016.7753260
Omri, A., Behbahani, A. S., Eltawil, A. M., & Hasna, M. O. (2016). Performance analysis of full-duplex multiuser decode-and-forward relay networks with interference management. 2016 IEEE Wireless Communications and Networking Conference. https://doi.org/10.1109/wcnc.2016.7564834
Stamelakos, I., Khajeh, A., Eltawil, A., Palermo, G., Silvano, C., & Kurdahi, F. (2016). A System-Level Exploration of Power Delivery Architectures for Near-Threshold Manycores Considering Performance Constraints. 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI). https://doi.org/10.1109/isvlsi.2016.65
Panthi, S., & Eltawil, A. M. (2016). Dynamic Resource Management in High Throughput Satellite with Multi Port Amplifier (MPA). International Journal of Interdisciplinary Telecommunications and Networking, 8(3), 66–81. https://doi.org/10.4018/ijitn.2016070105
Na, Y., El-Tawil, S., Ibrahim, A., & Eltawil, A. (2016). Simulating Two-Dimensional Stick-Slip Motion of a Rigid Body using a New Friction Model. Proceedings of the 2nd World Congress on Mechanical, Chemical, and Material Engineering. https://doi.org/10.11159/icmie16.116
Hovhannisyan, D., Kurdahi, F., Eltawil, A., Aghakouchak, A., & Al Faruque, M. A. (2016). Poster Abstract: Unifying Modeling Substrate for Irrigation Cyber-Physical Systems. 2016 ACM/IEEE 7th International Conference on Cyber-Physical Systems (ICCPS). https://doi.org/10.1109/iccps.2016.7479116
Sasan, A., Kurdahi, F. J., & Eltawil, A. M. (2016). Erratum to: Chapter 4 Resizable Data Composer (RDC) Cache: A Near-Threshold Cache Tolerating Process Variation via Architectural Fault Tolerance. Near Threshold Computing, E1–E1. https://doi.org/10.1007/978-3-319-23389-5_6
Alenizi, F., Kurdahi, F., Eltawil, A., & Aljumah, A. (2015). DWT-based watermarking technique for video authentication. 2015 IEEE International Conference on Electronics, Circuits, and Systems (ICECS). https://doi.org/10.1109/icecs.2015.7440244
Khorshid, A. E., Eltawil, A. M., & Kurdahi, F. (2015). Intra-body communication model based on variable biological parameters. 2015 49th Asilomar Conference on Signals, Systems and Computers. https://doi.org/10.1109/acssc.2015.7421278
Safavi, S. M., Behbahani, A. S., Eltawil, A. M., Nenadic, Z., & Do, A. H. (2015). A cortical activity localization approach for decoding finger movements from human electrocorticogram signal. 2015 49th Asilomar Conference on Signals, Systems and Computers. https://doi.org/10.1109/acssc.2015.7421274

2015

Sasan, A., Kurdahi, F. J., & Eltawil, A. M. (2016). Resizable Data Composer (RDC) Cache: A Near-Threshold Cache Tolerating Process Variation via Architectural Fault Tolerance. Near Threshold Computing, 57–73. https://doi.org/10.1007/978-3-319-23389-5_4
Sasan, A., Kurdahi, F. J., & Eltawil, A. M. (2016). Resizable Data Composer (RDC) Cache: A Near-Threshold Cache Tolerating Process Variation via Architectural Fault Tolerance. Near Threshold Computing, 57–73. https://doi.org/10.1007/978-3-319-23389-5_4
Ahmed, E., Eltawil, A. M., Li, Z., & Cetiner, B. A. (2015). Full-Duplex Systems Using Multireconfigurable Antennas. IEEE Transactions on Wireless Communications, 14(11), 5971–5983. https://doi.org/10.1109/twc.2015.2445776
Abdelaal, R. A., Behbahani, A. S., & Eltawil, A. M. (2015). Advanced base station precoding and user receiver designs for LTE-Advanced networks. 2015 International Conference on Computing, Networking and Communications (ICNC). https://doi.org/10.1109/iccnc.2015.7069488
Behbahani, A. S., Eltawil, A. M., & Jafarkhani, H. (2014). Distributed detection for wireless sensor networks with fusion center under correlated noise. 2014 48th Asilomar Conference on Signals, Systems and Computers. https://doi.org/10.1109/acssc.2014.7094853
Ahmed, E., & Eltawil, A. M. (2015). All-Digital Self-Interference Cancellation Technique for Full-Duplex Systems. IEEE Transactions on Wireless Communications, 14(7), 3519–3532. https://doi.org/10.1109/twc.2015.2407876
Yang, Y., Aissa, S., Eltawil, A., & Salama, K. N. (2014). An interference cancellation strategy for broadcast in hierarchical cell structure. 2014 IEEE Global Communications Conference. https://doi.org/10.1109/glocom.2014.7037068

2014

Li, Z., Ahmed, E., Eltawil, A. M., & Cetiner, B. A. (2015). A Beam-Steering Reconfigurable Antenna for WLAN Applications. IEEE Transactions on Antennas and Propagation, 63(1), 24–32. https://doi.org/10.1109/tap.2014.2367500
Ahmed, E., & Eltawil, A. M. (2015). On Phase Noise Suppression in Full-Duplex Systems. IEEE Transactions on Wireless Communications, 14(3), 1237–1251. https://doi.org/10.1109/twc.2014.2365536
Behbahani, A. S., Eltawil, A. M., & Jafarkhani, H. (2014). High SNR Linear Estimation of Vector Sources. IEEE Wireless Communications Letters, 3(6), 581–584. https://doi.org/10.1109/lwc.2014.2359210
Behbahani, A. S., Eltawil, A. M., & Jafarkhani, H. (2014). Decentralized Estimation Under Correlated Noise. IEEE Transactions on Signal Processing, 62(21), 5603–5614. https://doi.org/10.1109/tsp.2014.2356435
Chakraborty, A., Homayoun, H., Khajeh, A., Dutt, N., Eltawil, A., & Kurdahi, F. (2014). Multicopy Cache. ACM Transactions on Embedded Computing Systems, 13(5s), 1–27. https://doi.org/10.1145/2632162
Pirbadian, A., Khairy, M. S., Eltawil, A. M., & Kurdahi, F. J. (2014). State dependent statistical timing model for voltage scaled circuits. 2014 IEEE International Symposium on Circuits and Systems (ISCAS). https://doi.org/10.1109/iscas.2014.6865414
Shen, C.-A., Khairy, M. S., Eltawil, A. M., & Kurdahi, F. J. (2014). Low power reduced-complexity error-resilient MIMO detector. 2014 IEEE International Symposium on Circuits and Systems (ISCAS). https://doi.org/10.1109/iscas.2014.6865478
Ahmed, E., Eltawil, A. M., & Sabharwal, A. (2013). Self-interference cancellation with phase noise induced ICI suppression for full-duplex systems. 2013 IEEE Global Communications Conference (GLOBECOM). https://doi.org/10.1109/glocom.2013.6831595
On the performance of Massive MIMO cellular systems with power amplifiers. (2014). 2014 Wireless Telecommunications Symposium. https://doi.org/10.1109/wts.2014.6835004
On optimizing the performance of interference-limited cellular systems. (2014). 2014 Wireless Telecommunications Symposium. https://doi.org/10.1109/wts.2014.6834999
Hussien, A. M. A., Eltawil, A. M., Amin, R., & Martin, J. (2013). MPMAP : A high level synthesis and mapping tool for MPSoCs. 2013 Asilomar Conference on Signals, Systems and Computers. https://doi.org/10.1109/acssc.2013.6810609
Ahmed, E., Eltawil, A. M., & Sabharwal, A. (2013). Self-interference cancellation with nonlinear distortion suppression for full-duplex systems. 2013 Asilomar Conference on Signals, Systems and Computers. https://doi.org/10.1109/acssc.2013.6810483
Khairy, M. S., Eltawil, A. M., Kurdahi, F. J., & Khajeh, A. (2013). Error-aware power management for memory dominated OFDM systems. 2013 Asilomar Conference on Signals, Systems and Computers. https://doi.org/10.1109/acssc.2013.6810664
Khairy, M. S., Khajeh, A., Eltawil, A. M., & Kurdahi, F. J. (2014). Joint Power Management and Adaptive Modulation and Coding for Wireless Communications Systems With Unreliable Buffering Memories. IEEE Transactions on Circuits and Systems I: Regular Papers, 61(8), 2456–2465. https://doi.org/10.1109/tcsi.2014.2309791
Hussien, A. M. A., Amin, R., Eltawil, A. M., & Martin, J. (2015). Energy Aware Mapping for Reconfigurable Wireless MPSoCs. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 23(2), 392–396. https://doi.org/10.1109/tvlsi.2014.2309013
Khairy, M. S., Shen, C.-A., Eltawil, A. M., & Kurdahi, F. J. (2014). Algorithms and Architectures of Energy-Efficient Error-Resilient MIMO Detectors for Memory-Dominated Wireless Communication Systems. IEEE Transactions on Circuits and Systems I: Regular Papers, 61(7), 2159–2171. https://doi.org/10.1109/tcsi.2014.2298273
Hussien, A. M. A., Elsharkasy, W. M., Eltawil, A. M., Kurdahi, F., & Khajeh, A. (2013). Low overhead correction scheme for unreliable LDPC buffering. 2013 IEEE Global Conference on Signal and Information Processing. https://doi.org/10.1109/globalsip.2013.6736979
Zidan, M. A., Eltawil, A. M., Kurdahi, F., Fahmy, H. A. H., & Salama, K. N. (2014). Memristor Multiport Readout: A Closed-Form Solution for Sneak Paths. IEEE Transactions on Nanotechnology, 13(2), 274–282. https://doi.org/10.1109/tnano.2014.2299558

2013

Lee, K., Kwon, H. M., Behbahani, A. S., & Eltawil, A. M. (2013). A Note on “Amplify-and-Forward Relay Networks under Received Power Constraint” [Nov 09 5422-5426]. IEEE Transactions on Wireless Communications, 12(10), 5375–5375. https://doi.org/10.1109/twc.2013.092813.131683
Khairy, M. S., Khajeh, A., Eltawil, A. M., & Kurdahi, F. J. (2014). Equi-Noise: A Statistical Model That Combines Embedded Memory Failures and Channel Noise. IEEE Transactions on Circuits and Systems I: Regular Papers, 61(2), 407–419. https://doi.org/10.1109/tcsi.2013.2268197
Ahmed, E., Eltawil, A. M., & Sabharwal, A. (2013). Rate Gain Region and Design Tradeoffs for Full-Duplex Wireless Communications. IEEE Transactions on Wireless Communications, 12(7), 3556–3565. https://doi.org/10.1109/twc.2013.060413.121871
Le-Nguyen Tran, Kurdahi, F. J., Eltawil, A. M., & Homayoun, H. (2013). Heterogeneous memory management for 3D-DRAM and external DRAM with QoS. 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC). https://doi.org/10.1109/aspdac.2013.6509676
Khairy, M. S., Shen, C.-A., Eltawil, A. M., & Kurdahi, F. (2012). Error resilient MIMO detector for memory-dominated wireless communication systems. 2012 IEEE Global Communications Conference (GLOBECOM). https://doi.org/10.1109/glocom.2012.6503668
Amin, R., Martin, J., Deaton, J., DaSilva, L. A., Hussien, A., & Eltawil, A. (2013). Balancing Spectral Efficiency, Energy Consumption, and Fairness in Future Heterogeneous Wireless Systems with Reconfigurable Devices. IEEE Journal on Selected Areas in Communications, 31(5), 969–980. https://doi.org/10.1109/jsac.2013.130515
Eltawil, A. (2012). Session MA6b: DSP Architecture for wireless communications (invited) [breaker page]. 2012 Conference Record of the Forty Sixth Asilomar Conference on Signals, Systems and Computers (ASILOMAR). https://doi.org/10.1109/acssc.2012.6488966
Khairy, M. S., Gholamipour, A., Kurdahi, F. J., & Eltawil, A. M. (2012). Reliable low power Distributed Arithmetic filters via N-Modular Redundancy. 2012 Conference Record of the Forty Sixth Asilomar Conference on Signals, Systems and Computers (ASILOMAR). https://doi.org/10.1109/acssc.2012.6489083
Khajeh, A., Gupta, A., Dutt, N., Kurdahi, F., Eltawil, A., Khouri, K., & Abadir, M. (2009). TRAM: A tool for Temperature and Reliability Aware Memory Design. 2009 Design, Automation & Test in Europe Conference & Exhibition. https://doi.org/10.1109/date.2009.5090685
Sasan, A., Homayoun, H., Eltawil, A., & Kurdahi, F. (2009). Process Variation Aware SRAM/Cache for aggressive voltage-frequency scaling. 2009 Design, Automation & Test in Europe Conference & Exhibition. https://doi.org/10.1109/date.2009.5090795

2012

Zaynoun, S., Khairy, M. S., Eltawil, A. M., Kurdahi, F. J., & Khajeh, A. (2012). Fast error aware model for arithmetic and logic circuits. 2012 IEEE 30th International Conference on Computer Design (ICCD). https://doi.org/10.1109/iccd.2012.6378659
Ahmed, E., Eltawil, A., & Sabharwal, A. (2012). Simultaneous transmit and sense for cognitive radios using full-duplex: A first study. Proceedings of the 2012 IEEE International Symposium on Antennas and Propagation. https://doi.org/10.1109/aps.2012.6348493
Tran, S. V., & Eltawil, A. M. (2012). Link adaptation for wireless systems. Wireless Communications and Mobile Computing, 14(16), 1509–1521. https://doi.org/10.1002/wcm.2292
Behbahani, A. S., Eltawil, A. M., & Jafarkhani, H. (2012). Linear Decentralized Estimation of Correlated Data for Power-Constrained Wireless Sensor Networks. IEEE Transactions on Signal Processing, 60(11), 6003–6016. https://doi.org/10.1109/tsp.2012.2208961
Behbahani, A. S., Eltawil, A. M., & Jafarkhani, H. (2012). Linear Decentralized Estimation of Correlated Data for Power-Constrained Wireless Sensor Networks. IEEE Transactions on Signal Processing, 60(11), 6003–6016. https://doi.org/10.1109/tsp.2012.2208961
Tran, S. V., & Eltawil, A. M. (2012). Optimized scheduling algorithm for LTE downlink system. 2012 IEEE Wireless Communications and Networking Conference (WCNC). https://doi.org/10.1109/wcnc.2012.6214011
Sukumar, C. P., & Eltawil, A. M. (2012). Multiuser communications using beam-tilting antennas. 2012 IEEE Wireless Communications and Networking Conference (WCNC). https://doi.org/10.1109/wcnc.2012.6214422
Amin, R., Martin, J., Eltawil, A., & Hussien, A. (2012). Spectral efficiency and energy consumption tradeoffs for reconfigurable devices in heterogeneous wireless systems. 2012 IEEE Wireless Communications and Networking Conference (WCNC). https://doi.org/10.1109/wcnc.2012.6214057
Behbahani, A. S., Eltawil, A. M., & Jafarkhani, H. (2012). Linear Estimation of Correlated Vector Sources for Wireless Sensor Networks with Fusion Center. IEEE Wireless Communications Letters, 1(4), 400–403. https://doi.org/10.1109/wcl.2012.061212.120373
Khajeh, A., Kim, M., Dutt, N., Eltawil, A. M., & Kurdahi, F. J. (2012). Error-Aware Algorithm/Architecture Coexploration for Video Over Wireless Applications. ACM Transactions on Embedded Computing Systems, 11S(1), 1–23. https://doi.org/10.1145/2180887.2180892
Sasan, A., Homayoun, H., Amiri, K., Eltawil, A., & Kudahi, F. (2012). History & Variation Trained Cache (HVT-Cache): A process variation aware and fine grain voltage scalable cache with active access history monitoring. Thirteenth International Symposium on Quality Electronic Design (ISQED). https://doi.org/10.1109/isqed.2012.6187540
Hussien, A. M. A., Khairy, M. S., Khajeh, A., Eltawil, A. M., & Kurdahi, F. J. (2011). A Class of Low Power Error Compensation Iterative Decoders. 2011 IEEE Global Telecommunications Conference - GLOBECOM 2011. https://doi.org/10.1109/glocom.2011.6134075
Sukumar, C. P., Shen, C.-A., & Eltawil, A. M. (2012). Joint Detection and Decoding for MIMO Systems Using Convolutional Codes: Algorithm and VLSI Architecture. IEEE Transactions on Circuits and Systems I: Regular Papers, 59(9), 1919–1931. https://doi.org/10.1109/tcsi.2011.2180442
Tran, L.-N., Kurdahi, F. J., Eltawil, A. M., & Aljumah, A. (2011). Adjustable supply voltages and refresh cycle for process variations, temperature changes, and device degradation adaptation in 1T1C embedded DRAM. 2011 IEEE 6th International Design and Test Workshop (IDT). https://doi.org/10.1109/idt.2011.6123115
Gholamipour, A. H., Papadimitriou, K., Kurdahi, F., Dollas, A., & Eltawil, A. (2011). Area, reconfiguration delay and reliability trade-offs in designing reliable multi-mode FIR filters. 2011 IEEE 6th International Design and Test Workshop (IDT). https://doi.org/10.1109/idt.2011.6123107

2011

Hussien, A. M. A., Eltawil, A. M., Amin, R., & Martin, J. (2011). Energy aware task mapping algorithm for heterogeneous MPSoC based architectures. 2011 IEEE 29th International Conference on Computer Design (ICCD). https://doi.org/10.1109/iccd.2011.6081444
SHEN, C.-A., ELTAWIL, A. M., & SALAMA, K. N. (2010). EVALUATION FRAMEWORK FOR K-BEST SPHERE DECODERS. Journal of Circuits, Systems and Computers, 19(05), 975–995. https://doi.org/10.1142/s0218126610006554
Golshan, S., Khajeh, A., Homayoun, H., Bozorgzadeh, E., Eltawil, A., & Kurdahi, F. J. (2011). Reliability-aware placement in SRAM-based FPGA for voltage scaling realization in the presence of process variations. Proceedings of the Seventh IEEE/ACM/IFIP International Conference on Hardware/software Codesign and System Synthesis - CODES+ISSS ’11. https://doi.org/10.1145/2039370.2039410
Martin, J., Amin, R., Eltawil, A., & Hussien, A. (2011). Using Reconfigurable Devices to Maximize Spectral Efficiency in Future Heterogeneous Wireless Systems. 2011 Proceedings of 20th International Conference on Computer Communications and Networks (ICCCN). https://doi.org/10.1109/icccn.2011.6006046
Behbahani, A. S., & Eltawil, A. M. (2011). Amplify-and-Forward Relay Networks under Received Power Constraint with Imperfect CSI. 2011 IEEE International Conference on Communications (ICC). https://doi.org/10.1109/icc.2011.5962568
Behbahani, A. S., & Eltawil, A. M. (2011). Multiuser Sum MSE Minimization Relaying Strategy. 2011 IEEE International Conference on Communications (ICC). https://doi.org/10.1109/icc.2011.5962974
Chung-An Shen, Eltawil, A. M., Salama, K. N., & Mondal, S. (2012). A Best-First Soft/Hard Decision Tree Searching MIMO Decoder for a 4 $\times$ 4 64-QAM System. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 20(8), 1537–1541. https://doi.org/10.1109/tvlsi.2011.2159821
Gholamipour, A. H., Gorcin, A., Celebi, H., Toreyin, B. U., Saghir, M. A. R., Kurdahi, F., & Eltawil, A. (2011). Reconfigurable filter implementation of a matched-filter based spectrum sensor for Cognitive Radio systems. 2011 IEEE International Symposium of Circuits and Systems (ISCAS). https://doi.org/10.1109/iscas.2011.5938101
Tran, L.-N., Kurdahi, F. J., & Eltawil, A. M. (2011). Adjustable supply voltages and refresh cycle for process variations and temperature changing adaptation in DRAM to minimize power consumption. 2011 IEEE Workshop on Microelectronics and Electron Devices. https://doi.org/10.1109/wmed.2011.5767277
Hussien, A. M. A., Khairy, M. S., Khajeh, A., Amiri, K., Eltawil, A. M., & Kurdahi, F. J. (2010). A combined channel and hardware noise resilient Viterbi decoder. 2010 Conference Record of the Forty Fourth Asilomar Conference on Signals, Systems and Computers. https://doi.org/10.1109/acssc.2010.5757543
Sasan, A., Amiri, K., Homayoun, H., Eltawil, A. M., & Kurdahi, F. J. (2012). Variation Trained Drowsy Cache (VTD-Cache): A History Trained Variation Aware Drowsy Cache for Fine Grain Voltage Scaling. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 20(4), 630–642. https://doi.org/10.1109/tvlsi.2011.2106523
Khairy, M. S., Khajeh, A., Eltawil, A. M., & Kurdahi, F. J. (2010). FFT processing through faulty memories in OFDM based systems. 2010 IEEE Globecom Workshops. https://doi.org/10.1109/glocomw.2010.5700283
Gholamipour, A. H., Kurdahi, F., Eltawil, A., & Saghir, M. A. R. (2010). Exploiting Architectural Similarities and Mode Sequencing in Joint Cost Optimization of Multi-mode FIR Filters. 2010 International Conference on Field Programmable Logic and Applications. https://doi.org/10.1109/fpl.2010.42
Khajeh, A., Amiri, K., Khairy, M. S., Eltawil, A. M., & Kurdahi, F. J. (2010). A Unified Hardware and Channel Noise Model for Communication Systems. 2010 IEEE Global Telecommunications Conference GLOBECOM 2010. https://doi.org/10.1109/glocom.2010.5683123
Ge, F., Lin, H., Khajeh, A., Chiang, C. J., Ahmed, M. E., Charles, W. B., … Chadha, R. (2010). Cognitive Radio Rides on the Cloud. 2010 - MILCOM 2010 MILITARY COMMUNICATIONS CONFERENCE. https://doi.org/10.1109/milcom.2010.5680151

2010

Amiri, K., Khajeh, A., Eltawil, A. M., & Kurdahi, F. J. (2010). Process variation aware transcoding for low power H.264 decoding. 2010 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia. https://doi.org/10.1109/estmed.2010.5666988
Sukumar, C. P., Merched, R., & Eltawil, A. (2008). Joint Power Loading of Data and Pilots in OFDM Using Imperfect Channel State Information at the Transmitter. IEEE GLOBECOM 2008 - 2008 IEEE Global Telecommunications Conference. https://doi.org/10.1109/glocom.2008.ecp.872
Behbahani, A. S., & Eltawil, A. (2008). On Channel Estimation and Capacity for Amplify and Forward Relay Networks. IEEE GLOBECOM 2008 - 2008 IEEE Global Telecommunications Conference. https://doi.org/10.1109/glocom.2008.ecp.708
Chakraborty, A., Homayoun, H., Khajeh, A., Dutt, N., Eltawil, A., & Kurdahi, F. (2010). E < MC2. Proceedings of the 2010 International Conference on Compilers, Architectures and Synthesis for Embedded Systems - CASES ’10. https://doi.org/10.1145/1878921.1878956
Eslami, H., Sukumar, C. P., Rodrigo, D., Mopidevi, S., Eltawil, A. M., Jofre, L., & Cetiner, B. A. (2010). Reduced Overhead Training for Multi Reconfigurable Antennas with Beam-Tilting Capability. IEEE Transactions on Wireless Communications, 9(12), 3810–3821. https://doi.org/10.1109/twc.2010.091510.100267
Sasan, A., Homayoun, H., Eltawil, A. M., & Kurdahi, F. (2011). Inquisitive Defect Cache: A Means of Combating Manufacturing Induced Process Variation. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 19(9), 1597–1609. https://doi.org/10.1109/tvlsi.2010.2055589
Khajeh, A., Eltawil, A. M., & Kurdahi, F. J. (2011). Embedded Memories Fault-Tolerant Pre- and Post-Silicon Optimization. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 19(10), 1916–1921. https://doi.org/10.1109/tvlsi.2010.2056397
Khajeh, A., Eltawil, A. M., & Kurdahi, F. J. (2010). Effect of body biasing on embedded SRAM failure. Proceedings of 2010 IEEE International Symposium on Circuits and Systems. https://doi.org/10.1109/iscas.2010.5537193
Shen, C.-A., Eltawil, A. M., Mondal, S., & Salama, K. N. (2010). A best-first tree-searching approach for ML decoding in MIMO system. Proceedings of 2010 IEEE International Symposium on Circuits and Systems. https://doi.org/10.1109/iscas.2010.5537825
Gholamipour, A. H., Kurdahi, F., Eltawil, A., & Saghir, M. A. R. (2010). Placement-aware partial reconfiguration for a class of FIR-like structures. 2010 17th International Conference on Telecommunications. https://doi.org/10.1109/ictel.2010.5478857
Shen, C.-A., & Eltawil, A. M. (2010). A Radius Adaptive K-Best Decoder With Early Termination: Algorithm and VLSI Architecture. IEEE Transactions on Circuits and Systems I: Regular Papers, 57(9), 2476–2486. https://doi.org/10.1109/tcsi.2010.2043017
Shen, C.-A., & Eltawil, A. M. (2010). An Adaptive Reduced Complexity K-Best Decoding Algorithm with Early Termination. 2010 7th IEEE Consumer Communications and Networking Conference. https://doi.org/10.1109/ccnc.2010.5421739
Mondal, S., Salama, K. N., & Eltawil, A. (2008). On the VLSI Implementation of low complexity K-best MIMO decoders. 2008 International Conference on Microelectronics. https://doi.org/10.1109/icm.2008.5393766

2009

Behbahani, A., & Eltawil, A. (2009). Amplify-and-Forward Relay Networks Under Received Power Constraint. IEEE Transactions on Wireless Communications, 8(11), 5422–5426. https://doi.org/10.1109/twc.2009.081522
Mondal, S., Eltawil, A., Shen, C.-A., & Salama, K. N. (2010). Design and Implementation of a Sort-Free K-Best Sphere Decoder. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 18(10), 1497–1501. https://doi.org/10.1109/tvlsi.2009.2025168
Gholamipour, A. H., Eslami, H., Eltawil, A., & Kurdahi, F. (2009). Size-Reconfiguration Delay Tradeoffs for a Class of DSP Blocks in Multi-mode Communication Systems. 2009 17th IEEE Symposium on Field Programmable Custom Computing Machines. https://doi.org/10.1109/fccm.2009.39
Sasan, A., Homayoun, H., Eltawil, A., & Kurdahi, F. (2009). A fault tolerant cache architecture for sub 500mV operation. Proceedings of the 2009 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems - CASES ’09. https://doi.org/10.1145/1629395.1629431
Sukumar, C. P., Eslami, H., Eltawil, A. M., & Cetiner, B. A. (2009). Link Performance Improvement Using Reconfigurable Multiantenna Systems. IEEE Antennas and Wireless Propagation Letters, 8, 873–876. https://doi.org/10.1109/lawp.2009.2028300
Kurdahi, F. J., Eltawil, A., Yi, K., Cheng, S., & Khajeh, A. (2010). Low-Power Multimedia System Design by Aggressive Voltage Scaling. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 18(5), 852–856. https://doi.org/10.1109/tvlsi.2009.2016665
Eslami, H., Patel, G., Sukumar, C. P., Tran, S. V., Eltawil, A. M., Rao, R., & Dick, C. (2009). Demonstration of highly programmable downlink OFDMA (WiMax) transceivers for SDR systems. Proceedings of the Tenth ACM International Symposium on Mobile Ad Hoc Networking and Computing - MobiHoc ’09. https://doi.org/10.1145/1530748.1530796
Eslami, H., Tran, S. V., & Eltawil, A. M. (2009). Design and Implementation of a Scalable Channel Emulator for Wideband MIMO Systems. IEEE Transactions on Vehicular Technology, 58(9), 4698–4709. https://doi.org/10.1109/tvt.2009.2027439
Makhzan, M. A., Khajeh, A., Eltawil, A., & Kurdahi, F. J. (2009). A Low Power JPEG2000 Encoder With Iterative and Fault Tolerant Error Concealment. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 17(6), 827–837. https://doi.org/10.1109/tvlsi.2009.2016714
Mondal, S., Eltawil, A. M., & Salama, K. N. (2009). Architectural Optimizations for Low-Power $K$-Best MIMO Decoders. IEEE Transactions on Vehicular Technology, 58(7), 3145–3153. https://doi.org/10.1109/tvt.2009.2017548

2008

Khajeh, A., Kim, M., Dutt, N., Eltawil, A. M., & Kurdahi, F. J. (2008). Cross-layer co-exploration of exploiting error resilience for video over wireless applications. 2008 IEEE/ACM/IFIP Workshop on Embedded Systems for Real-Time Multimedia. https://doi.org/10.1109/estmed.2008.4696987
Gupta, A., Djahromi, A., Eltawil, A., Dutt, N., & Kurdahi, F. (2008). Managing leakage power and reliability in hot chips using system floorplanning and SRAM design. 2008 14th International Workshop on Thermal Inveatigation of ICs and Systems. https://doi.org/10.1109/therminic.2008.4669875
Makhzan, M. A., Eltawil, A., & Kurdahi, F. J. (2008). Architectural and algorithm level fault tolerant techniques for low power high yield multimedia devices. 2008 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation. https://doi.org/10.1109/icsamos.2008.4664855
Eltawil, A. M., & Kurdahi, F. J. (2005). Improving effective yield through error tolerant system design. 2005 12th IEEE International Conference on Electronics, Circuits and Systems. https://doi.org/10.1109/icecs.2005.4633574
Behbahani, A. S., Merched, R., & Eltawil, A. M. (2008). Optimizations of a MIMO Relay Network. IEEE Transactions on Signal Processing, 56(10), 5062–5073. https://doi.org/10.1109/tsp.2008.929120
Kang Yi, Shih-Yang Cheng, Kurdahi, F., & Eltawil, A. (2008). A partial memory protection scheme for higher effective yield of embedded memory for video data. 2008 13th Asia-Pacific Computer Systems Architecture Conference. https://doi.org/10.1109/apcsac.2008.4625448
Makhzan, M. A., Khajeh, A., Eltawil, A., & Kurdahi, F. (2007). Limits on voltage scaling for caches utilizing fault tolerant techniques. 2007 25th International Conference on Computer Design. https://doi.org/10.1109/iccd.2007.4601943
Kurdahi, F., Dutt, N., Eltawil, A., & Nassif, S. (2008). Cross-Layer Approaches to Designing Reliable Systems Using Unreliable Chips. 21st International Conference on VLSI Design (VLSID 2008). https://doi.org/10.1109/vlsi.2008.135
Khajeh, A., Cheng, S.-Y., Eltawil, A. M., & Kurdahi, F. J. (2007). Power Management for Cognitive Radio Platforms. IEEE GLOBECOM 2007-2007 IEEE Global Telecommunications Conference. https://doi.org/10.1109/glocom.2007.773
Behbahani, A. S., Merched, R., & Eltawil, A. (2007). On Signal Processing Methods for MIMO Relay Architectures. IEEE GLOBECOM 2007-2007 IEEE Global Telecommunications Conference. https://doi.org/10.1109/glocom.2007.562

2007

Kurdahi, F., Eltawil, A., Djahromi, A. K., Makhzan, M., & Cheng, S. (2007). Error-Aware Design. 10th Euromicro Conference on Digital System Design Architectures, Methods and Tools (DSD 2007). https://doi.org/10.1109/dsd.2007.4341443
Eslami, H., & Eltawil, A. M. (2007). A Scalable Wireless Channel Emulator for Broadband MIMO Systems. 2007 IEEE International Conference on Communications. https://doi.org/10.1109/icc.2007.429
Yi, K., Cheng, S.-Y., Park, Y.-H., Kurdahi, F., & Eltawil, A. (n.d.). An Alternative Organization of Defect Map for Defect-Resilient Embedded On-Chip Memories. Lecture Notes in Computer Science, 102–113. https://doi.org/10.1007/978-3-540-74309-5_12
Djahromi, A. K., Eltawil, A. M., & Kurdahi, F. J. (2007). Fault Tolerant Approaches Targeting Ultra Low Power Communications System Design. 2007 IEEE 65th Vehicular Technology Conference - VTC2007-Spring. https://doi.org/10.1109/vetecs.2007.535
Djahromi, A. K., Eltawil, A. M., & Kurdahi, F. J. (2007). Exploiting Fault Tolerance Towards Power Efficient Wireless Multimedia Applications. 2007 4th IEEE Consumer Communications and Networking Conference. https://doi.org/10.1109/ccnc.2007.85
Djahromi, A. K., Eltawil, A. M., Kurdahi, F. J., & Kanj, R. (2007). Cross Layer Error Exploitation for Aggressive Voltage Scaling. 8th International Symposium on Quality Electronic Design (ISQED’07). https://doi.org/10.1109/isqed.2007.53
Frigon, J.-F., Eltawil, A. M., Daneshrad, B., Grayver, E., Li, Y., & Poberezhskiy, G. (2007). Simulation, implementation and performance evaluation of a diversity enabled WCDMA mobile terminal. Wireless Personal Communications, 43(4), 1101–1112. https://doi.org/10.1007/s11277-007-9286-z
Frigon, J.-F., Eltawil, A. M., Grayver, E., Tarighat, A., & Zou, H. (2007). Design and Implementation of a Baseband WCDMA Dual-Antenna Mobile Terminal. IEEE Transactions on Circuits and Systems I: Regular Papers, 54(3), 518–529. https://doi.org/10.1109/tcsi.2006.887620
Eslami, H., & Eltawil, A. (2006). A Real-Time Wireless Channel Emulator for MIMO Systems. IEEE Vehicular Technology Conference. https://doi.org/10.1109/vtcf.2006.19
Eltawil, A., & Kurdahi, F. (2006). System Redundancy; A Means of Improving Process Variation Yield Degradation in Memory Arrays. 2006 International Symposium on VLSI Design, Automation and Test. https://doi.org/10.1109/vdat.2006.258144

2006

Eslami, H., & Eltawil, A. M. (2006). Implementation of a carrier frequency recovery loop for MIMO-CDMA systems. IEEE Wireless Communications and Networking Conference, 2006. WCNC 2006. https://doi.org/10.1109/wcnc.2006.1696500
Yi, K., Jung, K. H., Cheng, S.-Y., Park, Y.-H., Kurdahi, F., & Eltawil, A. (2006). Design and Analysis of Low Power Image Filters Toward Defect-Resilient Embedded Memories for Multimedia SoCs. Lecture Notes in Computer Science, 295–308. https://doi.org/10.1007/11859802_24
Kurdahi, F. J., Eltawil, A. M., Young-Hwan Park, Kanj, R. N., & Nassif, S. R. (n.d.). System-Level SRAM Yield Enhancement. 7th International Symposium on Quality Electronic Design (ISQED’06). https://doi.org/10.1109/isqed.2006.130
Eltawil, A. M., Grayver, E., Tarighat, A., Frigon, J. F., & Abbasfar, A. A. (n.d.). Implementation of a digital timing recovery circuit for CDMA applications. Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005. https://doi.org/10.1109/cicc.2005.1568611
Tarighat, A., Grayver, E., Eltawil, A., Frigon, J.-F., Poberezhskiy, G., Hanli Zou, & Daneshrad, B. (n.d.). A low-power ASIC implementation of 2Mbps antenna-rake combiner for WCDMA with MRC and LMS capabilities. Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005. https://doi.org/10.1109/cicc.2005.1568610

2005

Daneshrad, B., & Eltawil, A. M. (n.d.). Integrated Circuit Technologies for Wireless Communications. Wireless Multimedia Network Technologies, 227–244. https://doi.org/10.1007/0-306-47330-5_13
Grayver, E., Frigon, J. F., Eltawil, A. M., Tarighat, A., Shoarinejad, K., Abbasfar, A., … Daneshrad, B. (2005). Design and VLSI Implementation for a WCDMA Multipath Searcher. IEEE Transactions on Vehicular Technology, 54(3), 889–902. https://doi.org/10.1109/tvt.2005.844664
Cabric, D., Eltawil, A. M., Zou, H., Mohan, S., & Daneshrad, B. (2005). Wireless field trial results of a high hopping rate FHSS-FSK testbed. IEEE Journal on Selected Areas in Communications, 23(5), 1113–1122. https://doi.org/10.1109/jsac.2005.845437
Eltawil, A. M., Grayver, E., Tarighat, A., Frigon, J. F., Shoarinejad, K., Hanli Zou, & Cabric, D. (n.d.). Diversity processing WCDMA cell searcher implementation. IEEE 60th Vehicular Technology Conference, 2004. VTC2004-Fall. 2004. https://doi.org/10.1109/vetecf.2004.1404808

2004

Grayver, E., ElTawil, A., Frigon, J. F., Shoarinejad, K., Abbasfar, A.-A., & Cabric, D. (n.d.). A novel multipath searcher implementation for WCDMA receivers. 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512). https://doi.org/10.1109/iscas.2004.1328948
Eltawil, A. M., & Daneshrad, B. (2004). A low-power DS-CDMA RAKE receiver utilizing resource allocation techniques. IEEE Journal of Solid-State Circuits, 39(8), 1321–1330. https://doi.org/10.1109/jssc.2004.831466
Eltawil, A. M., & Daneshrad, B. (n.d.). Modified all digital timing tracking loop for wireless applications. IEEE International Conference on Communications, 2003. ICC ’03. https://doi.org/10.1109/icc.2003.1204114

2003

Eltawil, A. M., Grayver, E., Hanli Zou, Frigon, J. F., Poberezhskiy, G., & Daneshrad, B. (n.d.). Dual antenna UMTS mobile station transceiver ASIC for 2 Mb/s data rate. 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC. https://doi.org/10.1109/isscc.2003.1234242
Eltawil, A. M., & Daneshrad, B. (n.d.). Piece-wise parabolic interpolation for direct digital frequency synthesis. Proceedings of the IEEE 2002 Custom Integrated Circuits Conference (Cat. No.02CH37285). https://doi.org/10.1109/cicc.2002.1012856
Eltawil, A. M., & Daneshrad, B. (n.d.). Interpolation based direct digital frequency synthesis for wireless communications. 2002 IEEE Wireless Communications and Networking Conference Record. WCNC 2002 (Cat. No.02TH8609). https://doi.org/10.1109/wcnc.2002.993466

2002

Cardarilli, G.-C., Ferri, G., & Bordoni, F. (n.d.). A low-voltage low-power rail-to-rail constant-Gm adaptive biased CMOS operational amplifier. Proceedings of the Tenth International Conference on Microelectronics (Cat. No.98EX186). https://doi.org/10.1109/icm.1998.825556
Daneshrad, B. (n.d.). Integrated circuit technologies for wireless communications. Ninth IEEE International Symposium on Personal, Indoor and Mobile Radio Communications (Cat. No.98TH8361). https://doi.org/10.1109/pimrc.1998.733578